FinFET

De Wikipedia, la enciclopedia libre
Esta es una versión antigua de esta página, editada a las 20:49 21 abr 2020 por Isarmien (discusión · contribs.). La dirección URL es un enlace permanente a esta versión, que puede ser diferente de la versión actual.

Un transistor de efecto de campo de aleta (FinFET)[1]​ es un dispositivo de múltiple puerta, un MOSFET (transistor de efecto de campo de semiconductor de óxido de metal) construido sobre un sustrato donde la puerta se coloca en dos, tres o cuatro lados del canal o envuelta alrededor el canal, formando una estructura de doble puerta. A estos dispositivos se les ha dado el nombre genérico "finfets" porque la región fuente / drenaje forma aletas en la superficie del silicio. Los dispositivos FinFET tienen tiempos de conmutación significativamente más rápidos y una densidad de corriente más alta que la tecnología plana CMOS (semiconductor de óxido de metal complementario).

FinFET es un tipo de transistor no plano o transistor "3D". Es la base para la fabricación moderna de dispositivos semiconductores nanoelectrónicos. Los microchips que utilizan puertas FinFET se comercializaron por primera vez en la primera mitad de la década de 2010, y se convirtieron en el diseño de puerta dominante en los nodos de proceso de 14 nm, 10 nm y 7 nm.

Comercialización

TSMC demostró el primer transistor de 25 nanómetros de la industria que opera con solo 0.7 voltios en diciembre de 2002. El diseño "Omega FinFET", llamado así por la similitud entre la letra griega "Omega" y la forma en que la compuerta se envuelve alrededor de la estructura fuente / drenaje, tiene un retraso de compuerta de solo 0,39 picosegundos (ps) para el transistor de tipo N. y 0.88 ps para el tipo P.

En 2004, Samsung demostró un diseño "Bulk FinFET", que hizo posible la producción en masa de dispositivos FinFET. Demostraron una memoria dinámica de acceso aleatorio (DRAM) fabricada con un proceso Bulk FinFET de 90 nm.

En 2011, Intel demostró transistores de tres puertas, donde la puerta rodea el canal en tres lados, lo que permite una mayor eficiencia energética y un menor retraso de la puerta, y por lo tanto un mayor rendimiento, sobre los transistores planos.

Los chips producidos comercialmente a 22 nm y menos han utilizado diseños de compuerta FinFET. La variante "Tri-Gate" de Intel se anunció a 22 nm en 2011 para su microarquitectura Ivy Bridge. Estos dispositivos se enviaron desde 2012 en adelante. A partir de 2014, a 14 nm (o 16 nm) las principales fundiciones (TSMC, Samsung, GlobalFoundries) utilizaron diseños FinFET.

En 2013, SK Hynix comenzó la producción en masa comercial de un proceso de 16 nm, TSMC comenzó la producción de un proceso FinFET de 16 nm, y Samsung Electronics comenzó la producción de un proceso de 10 nm. TSMC comenzó la producción de un proceso de 7 nm en 2017, y Samsung comenzó la producción de un proceso de 5 nm en 2018. En 2019, Samsung anunció planes para la producción comercial de un proceso GAAFET de 3 nm para 2021.

La producción comercial de la memoria de semiconductores nanoelectrónicos FinFET comenzó en la década de 2010. En 2013, SK Hynix comenzó la producción en masa de memoria flash NAND de 16 nm, y Samsung Electronics comenzó la producción de memoria flash NAND de celda multinivel (MLC) de 10 nm. En 2017, TSMC comenzó la producción de memoria SRAM utilizando un proceso de 7 nm.

Referencias

  1. Jose, Publicado por: (7 de enero de 2016). «Te explicamos por qué son tan importantes ahora los transistores FinFET». TecnoGeekies (en inglés). Consultado el 21 de diciembre de 2019.